Elementele Limbajului Verilog

Seminar
7/10 (2 voturi)
Domeniu: Automatică
Conține 1 fișier: doc
Pagini : 28 în total
Cuvinte : 6346
Mărime: 475.66KB (arhivat)
Publicat de: Filofteia Iordache
Puncte necesare: 0

Extras din seminar

Limbajul verilog este organizat pe mai multe nivele din care fac parte:

a)nivelul inferiorcare permite descrierea amplasarii si conectarii rezistoarelor si tranzistoarelor

b)nivelul poarta care descrie folosind portile logicesi bistabile

c)nivelul transferlului intre registri(RTL) care opereaza cu vectori de intrare /iesire

Limbajul verilog reprezinta o structura logica care este abordata sub forma de structura de proiect.Descrierea proiectuluiui poarta numele de constructie complemantara Deoarece proiectrul este construit comportamnetal detaliile de comportare se definesc in etapele urmatoare.Descrierea comportamnetala permite realizarea de simulari.

Elemente de sintaxa

Exista asemanari intre nivelele de sintaxa ale limajului Csi C++ De ex: dupa// se scrie comentariul Pemtru a descrie un sistem logic acest limbaj opereaza cu module si practiuc se descriu aceste module.

EX: folosoim 2 intrari asimilate cu registrul A,si B Registrul A este implementat cu 1 unitate si primii 4 biti ai registrului B sunt incarcati cu valori negativeale ultimilor 4 biti ai registrului.

Module simplu

Reg[0:7] A,B;//registru pe 8 biti

reg C;//registru pe un bit

In interiorul modulului vom gasi constructii de tipul always si initial.Acestea descriu firele de propagare concurente in cadrul unei constructii de tip “initial”.Intrarile se executa secvential una dupa alta ca si in C.

Notiuile de tip #1 semnifica intarzierea in timp in pasi de timp simulati.

$stop-tasc de sistem

$display –pewrmite afisarea de la consola la fel ca si printf sdin C La fiecare unitate de timp simulat ,una din variabile isi schimba valoarea Astfel intervine o alta functie sistem $monitor care tipareste valorile variabilelor In cazul in care descrierea prezentata va fi simulatat pe baza functiilor de sistem apelate ,se genetreazarezultate de tipul urmator: 20registri.Aceastas structura de prograsm o vom regasi in programele scrise in verilog.Ca regula sunt necesare doua constructii de tip initial –una pentru a specifica durata simularii si alta pentru initializarea registrilor si specificarea celor care sunt monitorizate Secventa always este cea care descrie functia logica implemantata Sunt definite anumite conventii de scala fiind similare cu elel din C si care constau in urmatoirele reguli:

-comentraii*/ /*

-cuvintele cheie care intervin in sintaxa sunt cuvinte rezervate si folosesc litere mici

-spatiile permit delimitarea obiectelorde limbaj

-cifrele se exprima clasic cu sau fara semn

EX:54°// numar zecimal

h8ff // nr hexazecimal

a765 //nr binar cu 4 biti

-zerourile se definesc intre ghilimele duble

-operatorii prin 2 sau 3 caractere

-identificatorii care se specifica printr-o litera sau prin(-0) sau prin succesiuni de litere,cifre si semne de tip$ sau -.Un identificator pote asvea lungime maxima de 1024.

Structura unui program Verilog

Un prg verilog descrie un sistem digital ca un set de module ,fiecare modul are o interfata a altui modul.Interfata defineste maniera de interconectare Deobicei fiecare modul se defineste intr-un fisier distinct.Modulele opereaza in mod concurent si sunt in general ierarhizate ,cele de nivel superior invocand o parte din cele din nivelul inferior Un modul poate sa aiba o structura simpla (porti logice ).Un modul este zspecificat sau definit fie prin comportament fie prin structura sau prin combinatie.Atunci cand modulul este specificat comportamnetal se utilizeaza intructiuni specifice posibile in ,limbaj(instructiuni de atribuire sau de tip if). Descrierea structurala , aeasta se bazeaza pe conectarea ierarhica a submodulelor.In acest caz este nevoie la componentele cu care se opereazasa fie ori primitive logice ori sa fie elemente specificate comportamental.In cazul primitivelor includem porti siswichuricomutatoare(tranzistoare.)

Un modul are urmatoarea structura:

module<nume_modul>.(<lista deparametri>);

<declaratii>

<obiecte ale modulului>

end module

Lista de porturi defineste 3 porturi:

-intrari,input

-iesiri,output

-intrari/iesiri identificate prin INOUT

aceste porturi sunt destinate interconectarii cu alte module:

-<declaratii> in aceasta zona sunt specificate obiectele asimilate tipurilor de date (registii,memorii, legat5urisau fire de conectare). Registrii ca si memoriil;e sunt specificate prin reg in timp ce legaturile prin wire.Aparsi constructiile procedurale definite prin cuvine cheie de tip function,task.

-<obiecte ale modulelor> aceasta sectiune contine constructii de tip initial , always precum si atribuiri sau instante ale modulelor.

Preview document

Elementele Limbajului Verilog - Pagina 1
Elementele Limbajului Verilog - Pagina 2
Elementele Limbajului Verilog - Pagina 3
Elementele Limbajului Verilog - Pagina 4
Elementele Limbajului Verilog - Pagina 5
Elementele Limbajului Verilog - Pagina 6
Elementele Limbajului Verilog - Pagina 7
Elementele Limbajului Verilog - Pagina 8
Elementele Limbajului Verilog - Pagina 9
Elementele Limbajului Verilog - Pagina 10
Elementele Limbajului Verilog - Pagina 11
Elementele Limbajului Verilog - Pagina 12
Elementele Limbajului Verilog - Pagina 13
Elementele Limbajului Verilog - Pagina 14
Elementele Limbajului Verilog - Pagina 15
Elementele Limbajului Verilog - Pagina 16
Elementele Limbajului Verilog - Pagina 17
Elementele Limbajului Verilog - Pagina 18
Elementele Limbajului Verilog - Pagina 19
Elementele Limbajului Verilog - Pagina 20
Elementele Limbajului Verilog - Pagina 21
Elementele Limbajului Verilog - Pagina 22
Elementele Limbajului Verilog - Pagina 23
Elementele Limbajului Verilog - Pagina 24
Elementele Limbajului Verilog - Pagina 25
Elementele Limbajului Verilog - Pagina 26
Elementele Limbajului Verilog - Pagina 27
Elementele Limbajului Verilog - Pagina 28

Conținut arhivă zip

  • Elementele Limbajului Verilog.doc

Alții au mai descărcat și

Rețele Neuronale cu Învățare Nesupravegheată de Tip Kohonen

Utilizarea RNA pentru rezolvarea unor probleme practice necesită parcurgerea, unei etape esenţiale - etapa de învăţare sau antrenare. În...

Grafuri. parcurgerea grafurilor. Sortarea topologică

Scop: Parcurgerea in latime se foloseste: - pentru Inteligenta Artificiala (metoda neinformata de cautare... mai multe la cursul de IA); -...

Automate și Microprogramare

Aplicatia consta în controlul unei macarale care trebuie sa realizeze cele 2 cicluri de miscare reprezentate în figura 5.1. Initial macaraua se...

Utilizarea Calculatorului

1. Numarul paginilor web existente este de ordinul a) Miilor b) Sutelor de milioane c) Milioanelor d) Miliardelor 2. Folosirea indecsilor web...

Tema 8 - hazarde structurale la procesoarele de tip pipeline - exemple reale și soluții

Pentru a creste performanta procesoarelor a fost dezvoltata tehnica “benzii de asamblare”, numita si pipeline. Majoritatea procesoarelor din zilele...

Clase Derivate

Daca exista o ierarhie de clase derivate, atributele sunt mostenite prin aplicarea recursiva a regulilor din tabelul de mai sus. In esenta deci,...

Te-ar putea interesa și

Proiectare cu VLSI - VLSI Design

Circuitele integrate VLSI reprezintă vârful tehnologiei electronicii în domeniul integrării. Denumirea provine de la expresia Very Large Scale...

Proiectarea Decodoarelor și Multiplexoarelor în Verilog HDL

1.Scopul lucrării: Să se descrie următoarele module prin metoda structurală de descriere: 1. decodor 2 din 4; 2. folosind schema de extindere...

Proiectarea unui Multiplicator folosind Verilog HDL

1.Scopul lucrării: Să se descrie multiplicatorul pe 4 biţi prin metoda flux de date. 2.Date teoretice Verilog HDL reprezintă un limbaj utilizat...

Ai nevoie de altceva?