VHDL

Laborator
8/10 (3 voturi)
Conține 10 fișiere: pdf
Pagini : 205 în total
Cuvinte : 36375
Mărime: 4.91MB (arhivat)
Publicat de: Marin State
Puncte necesare: 0
Profesor îndrumător / Prezentat Profesorului: Iana Gabriel

Extras din laborator

Simularea structurilor hardware digitale cu ACTIVE-HDL

Această lucrare are ca principal obiectiv prezentarea mediului de

dezvoltare ALDEC ACTIVE HDL care va fi utilizat în cadrul acestui laborator

pentru implementarea de algoritmi în structuri hardware reconfigurabile cu ajutorul

limbajului de descriere hardware VHDL (Very high speed integrated circuit

Hardware Description Language). Vor fi realizaţi următorii paşi: realizarea unui

proiect, introducerea de programe in limbajul VHDL şi simularea acestora prin

vizualizarea semnalelor aferente.

Active-HDL este un mediu de dezvoltare integrat pentru limbajele de

descriere hardware VHDL, Verilog, VHDL-Verilog-EDIF, Sistem C şi Handle C.

El este compus din pachete integrate de proiectare, compilatoare pentru VHDL şi

Verilog, un singur kernel de simulare, pachete de programe pentru verificare,

pachete de programe pentru simulare cu vizualizare grafică sau în fişiere text. Mai

conţine utilitare pentru gestionarea resurselor proiectelor, librăriilor predefinite şi

posibilitatea de conectare software cu alte pachete de programe în procesele de

sinteză şi implementare hardware a programelor realizate de utilizator

Laborator 1 UNIVERSITATEA PITEŞTI

În plus, Active-HDL include un mediu de simulare pentru VHDL şi

Verilog în vederea determinării funcţionării fiecărui modul în cadrul unui proiect.

Învăţarea utilizării mediului de dezvoltare Active-HDL se va face prin

implementarea unui modul digital descris în această lucrare şi va fi încadrat în

schema electrică din figura de mai jos:

Se doreşte implementarea unui program în structura programabilă de

tip FPGA a unui program VHDL astfel încât la fiecare apăsare a tastei SW1 se

va aprinde pe rând câte un led începând cu LED0 şi până la LED7. Apăsarea tastei

TEST/RESET (se găseşte în partea stângă jos a machetei) conduce la stingerea

tuturor ledurilor.

Schema electrică din figura anterioară este o mică parte din schema

machetei de laborator cu SPARTA 3. În această schemă sunt plasate numai

componentele utilizate în cadrul proiectului pe care dorim sa-l realizăm. În circuitul

FPGA va fi implementat programul descris în continuare şi care respectă enunţul

problemei.

Programul VHDL este realizat ierarhic pe trei module:

- modulul COUNTER, care realizează numerotarea apăsărilor succesive a

tastei SW1;

- modulul DECODER, care realizează conversia unui număr binar pe 4

digiţi, primit pe portul de intrare în formatul ONE HOT pe 8 biţi care

este plasat pe ieşire la LED-uri dând efectul de deplasare a unui led

aprins de la LED0 la LED7;

- al treilea modul, numit TOP, face legătura între primele două module şi

formează structura finală ce urmează a fi implementată în FPGA.

Porturile modulului COUNTER sunt: clk, reset şi Q[3..0]

Porturile modulului DECODER sunt: DataIn[3..0] şi Output[7..0]

Porturile modulului principal sunt: clk, reset şi Output[7..0]

Preview document

VHDL - Pagina 1
VHDL - Pagina 2
VHDL - Pagina 3
VHDL - Pagina 4
VHDL - Pagina 5
VHDL - Pagina 6
VHDL - Pagina 7
VHDL - Pagina 8
VHDL - Pagina 9
VHDL - Pagina 10
VHDL - Pagina 11
VHDL - Pagina 12
VHDL - Pagina 13
VHDL - Pagina 14
VHDL - Pagina 15
VHDL - Pagina 16
VHDL - Pagina 17
VHDL - Pagina 18
VHDL - Pagina 19
VHDL - Pagina 20
VHDL - Pagina 21
VHDL - Pagina 22
VHDL - Pagina 23
VHDL - Pagina 24
VHDL - Pagina 25
VHDL - Pagina 26
VHDL - Pagina 27
VHDL - Pagina 28
VHDL - Pagina 29
VHDL - Pagina 30
VHDL - Pagina 31
VHDL - Pagina 32
VHDL - Pagina 33
VHDL - Pagina 34
VHDL - Pagina 35
VHDL - Pagina 36
VHDL - Pagina 37
VHDL - Pagina 38
VHDL - Pagina 39
VHDL - Pagina 40
VHDL - Pagina 41
VHDL - Pagina 42
VHDL - Pagina 43
VHDL - Pagina 44
VHDL - Pagina 45
VHDL - Pagina 46
VHDL - Pagina 47
VHDL - Pagina 48
VHDL - Pagina 49
VHDL - Pagina 50
VHDL - Pagina 51
VHDL - Pagina 52
VHDL - Pagina 53
VHDL - Pagina 54
VHDL - Pagina 55
VHDL - Pagina 56
VHDL - Pagina 57
VHDL - Pagina 58
VHDL - Pagina 59
VHDL - Pagina 60
VHDL - Pagina 61
VHDL - Pagina 62
VHDL - Pagina 63
VHDL - Pagina 64
VHDL - Pagina 65
VHDL - Pagina 66
VHDL - Pagina 67
VHDL - Pagina 68
VHDL - Pagina 69
VHDL - Pagina 70
VHDL - Pagina 71
VHDL - Pagina 72
VHDL - Pagina 73
VHDL - Pagina 74
VHDL - Pagina 75
VHDL - Pagina 76
VHDL - Pagina 77
VHDL - Pagina 78
VHDL - Pagina 79
VHDL - Pagina 80
VHDL - Pagina 81
VHDL - Pagina 82
VHDL - Pagina 83
VHDL - Pagina 84
VHDL - Pagina 85
VHDL - Pagina 86
VHDL - Pagina 87
VHDL - Pagina 88
VHDL - Pagina 89
VHDL - Pagina 90
VHDL - Pagina 91
VHDL - Pagina 92
VHDL - Pagina 93
VHDL - Pagina 94
VHDL - Pagina 95
VHDL - Pagina 96
VHDL - Pagina 97
VHDL - Pagina 98
VHDL - Pagina 99
VHDL - Pagina 100
VHDL - Pagina 101
VHDL - Pagina 102
VHDL - Pagina 103
VHDL - Pagina 104
VHDL - Pagina 105
VHDL - Pagina 106
VHDL - Pagina 107
VHDL - Pagina 108
VHDL - Pagina 109
VHDL - Pagina 110
VHDL - Pagina 111
VHDL - Pagina 112
VHDL - Pagina 113
VHDL - Pagina 114
VHDL - Pagina 115
VHDL - Pagina 116
VHDL - Pagina 117
VHDL - Pagina 118
VHDL - Pagina 119
VHDL - Pagina 120
VHDL - Pagina 121
VHDL - Pagina 122
VHDL - Pagina 123
VHDL - Pagina 124
VHDL - Pagina 125
VHDL - Pagina 126
VHDL - Pagina 127
VHDL - Pagina 128
VHDL - Pagina 129
VHDL - Pagina 130
VHDL - Pagina 131
VHDL - Pagina 132
VHDL - Pagina 133
VHDL - Pagina 134
VHDL - Pagina 135
VHDL - Pagina 136
VHDL - Pagina 137
VHDL - Pagina 138
VHDL - Pagina 139
VHDL - Pagina 140
VHDL - Pagina 141
VHDL - Pagina 142
VHDL - Pagina 143
VHDL - Pagina 144
VHDL - Pagina 145
VHDL - Pagina 146
VHDL - Pagina 147
VHDL - Pagina 148
VHDL - Pagina 149
VHDL - Pagina 150
VHDL - Pagina 151
VHDL - Pagina 152
VHDL - Pagina 153
VHDL - Pagina 154
VHDL - Pagina 155
VHDL - Pagina 156
VHDL - Pagina 157
VHDL - Pagina 158
VHDL - Pagina 159
VHDL - Pagina 160
VHDL - Pagina 161
VHDL - Pagina 162
VHDL - Pagina 163
VHDL - Pagina 164
VHDL - Pagina 165
VHDL - Pagina 166
VHDL - Pagina 167
VHDL - Pagina 168
VHDL - Pagina 169
VHDL - Pagina 170
VHDL - Pagina 171
VHDL - Pagina 172
VHDL - Pagina 173
VHDL - Pagina 174
VHDL - Pagina 175
VHDL - Pagina 176
VHDL - Pagina 177
VHDL - Pagina 178
VHDL - Pagina 179
VHDL - Pagina 180
VHDL - Pagina 181
VHDL - Pagina 182
VHDL - Pagina 183
VHDL - Pagina 184
VHDL - Pagina 185
VHDL - Pagina 186
VHDL - Pagina 187
VHDL - Pagina 188
VHDL - Pagina 189
VHDL - Pagina 190
VHDL - Pagina 191
VHDL - Pagina 192
VHDL - Pagina 193
VHDL - Pagina 194
VHDL - Pagina 195
VHDL - Pagina 196
VHDL - Pagina 197
VHDL - Pagina 198
VHDL - Pagina 199
VHDL - Pagina 200
VHDL - Pagina 201
VHDL - Pagina 202
VHDL - Pagina 203
VHDL - Pagina 204
VHDL - Pagina 205

Conținut arhivă zip

  • lab1_VHDL.pdf
  • Lab10_VHDL.pdf
  • Lab2_VHDL.pdf
  • Lab3_VHDL.pdf
  • Lab4_VHDL.pdf
  • Lab5_VHDL.pdf
  • Lab6_VHDL.pdf
  • Lab7_VHDL.pdf
  • Lab8_VHDL.pdf
  • Lab9_VHDL.pdf

Alții au mai descărcat și

Programarea Calculatoarelor - Anul 1 - ETTI - C++

LUCRAREA 9 Scopul lucrarii îl constituie prezentarea tipurilor de date neomogene (structurile), utilizarea operatorului typedef în contextul...

Noțiuni introductive C++

Pentru testare se poate folosi urmatorul program: // include obiectele standard // pentru intrari/iesiri cu consola 1 #include <iostream> //...

Programarea Calculatoarelor

1. Introducere in programarea calculatoarelor si prezentarea notiunii de algoritm Programarea calculatoarelor este procesul de proiectare,...

Structuri de Date și Algoritmi

Se citesc m perechi de numere întregi (x,y) reprezentând extremitatile muchiilor unui graf neorientat cu n vârfuri si m muchii. Sa se verifice...

Limbaj de Programare C++

1. Prezentarea mediului de dezvoltare Microsoft Visual C++ 6.0 Microsoft Visual C++ 6.0 este mediul de dezvoltare integrat (Integrated Development...

Sisteme de Operare

Laborator nr. 1 Introducere in sistemul de operare Linux Surt istoric: Sistemul de operare UNIX a fost creat la Bell Laboratories in 1969, de...

Introducere în Limbajul Java

Programare Orientată pe Obiecte 1.Introducere în limbajul Java Java ca limbaj şi mediu de programare a fost lansat de firma Sun Microsystems. Cea...

Te-ar putea interesa și

Automat pentru Controlul și Monitorizarea unei Parcări

CAPITOLUL 1 CONSIDERAŢII TEORETICE 1.1. CIRCUITE LOGICE PROGRAMABILE Circuitele logice programabile, cunoscute şi sub forma acronimului PLD...

Unitate aritmetică și logică în VHDL și simulare

1. Rezumat Obiectivul acestui proiect l-a constituit proiectarea, utlizând circuite elementare, a unei unităţi aritmetice şi logice şi simularea...

Circuit Monostabil Retrigerabil

I.Schema Circuitului Fig.1 ( Pentru mai multe detralii Fig.1.1 ) (Pentru Tabela de Adevar si Tabela Karnaugh Doc.1) II.Proiectarea Circuitului...

Limbajul VHDL

1.Limbajul VHDL Limbajul VHDL este unul dintre limbajele standard folosite pentru a descrie sistemele numerice.VHDL – Very Hight Speed Integrated...

La Conception et la Mise en Oeuvre FPGA de Commandes Multi-PWM

La conception et la mise en œuvre FPGA de commandes multi-PWM 1. Le but du projet Dans le projet on va étudier et implémenter la commande...

Memorie Adresabilă prin Conținut

1. Introducere Memoria adresabilă prin conţinut ( CAM – content addresable memory ) reprezintă o memorie în care căutarea se face după o cheie de...

Proiect Structuri Hardware Reconfigurabile

TEMA PROIECT Sa se realizeze un generator de culori cu afisare pe portul VGA. Se va genera in total trei culori, sub forma unor cadre care vor fi...

Reguli de Modelare în VHDL a Sistemelor Digitale

1. Reguli de modelare in VHDL a sistemelor digitale Scopul final al modelarii unui sistem digital intr-un limbaj de descriere hardware nu este...

Ai nevoie de altceva?